国产成人毛片视频|星空传媒久草视频|欧美激情草久视频|久久久久女女|久操超碰在线播放|亚洲强奸一区二区|五月天丁香社区在线|色婷婷成人丁香网|午夜欧美6666|纯肉无码91视频

觸發(fā)器實例(什么是觸發(fā)器及簡單例子?)

什么叫觸發(fā)器及簡易事例?界定: 什么是觸發(fā)器?在SQL Server里也便是對某一個表中一定操作,開啟某類標準,進而實行的一段程序流程。觸發(fā)器是一個特殊sql語句。比較常見的觸發(fā)器有三種:各自用于In

觸發(fā)器實例(什么是觸發(fā)器及簡單例子?)

什么叫觸發(fā)器及簡易事例?

界定: 什么是觸發(fā)器?在SQL Server里也便是對某一個表中一定操作,開啟某類標準,進而實行的一段程序流程。觸發(fā)器是一個特殊sql語句。

比較常見的觸發(fā)器有三種:各自用于Insert , Update , Delete 事情。(SQL Server 2000界定了一個新的觸發(fā)器,這兒不提)

d觸發(fā)器的應(yīng)用實例?

在某個系統(tǒng)內(nèi),前級數(shù)據(jù)輸入位寬為8位,而后級的數(shù)據(jù)輸出位寬為32,我們應(yīng)該將8bit數(shù)據(jù)交換為32bit,因為后級的處理方法位寬為前級的4倍,因而后級處理時鐘工作頻率都將降低為前級的1/4,若不能使用時鐘使能,則要把前級的時鐘開展4分頻當作后級處理時鐘。這樣的設(shè)計方式會引進一個新的時鐘域,解決上要采用多時鐘域解決的形式,因此在規(guī)劃復(fù)雜性提升的前提下全面的穩(wěn)定性都將減少。為了防止這些問題,大家使用了時鐘使能從而減少設(shè)計方案復(fù)雜性。

例1:選用時鐘使能

module clk_en(clk, rst_n, data_in, data_out)

input clk

input rst_n

input [7:0] data_in

output [31:0] data_out

reg [31:0] data_out

reg [31:0] data_shift

reg [1:0] cnt

reg clken

always @(posedge clk or negedge rst_n)

begin

if (!rst_n)

cnt lt= 0

else

cnt lt= cnt 1

end

always @(posedge clk or negedge rst_n)

begin

if (!rst_n)

clken lt= 0

else if (cnt == 2#39b01)

clken lt= 1

else

clken lt= 0

end

always @(posedge clk or negedge rst_n)

begin

if (!rst_n)

data_shift lt= 0

else

data_shift lt= {data_shift[23:0],data_in}

end

always @(posedge clk or negedge rst_n)

begin

if (!rst_n)

data_out lt= 0

else if (clken == 1#39b1)

data_out lt= data_shift

end

endmodule